Эмулятор PCI Express для HDL-моделирования

Артем Борисович Шворин

Аннотация


В данной работе описывается эмулятор PCI Express — инструмент, позволяющий упростить разработку и отладку некоторого класса аппаратных устройств, работающих по протоколу передачи данных PCI Express. Эмулятор позволяет промоделировать поведение разрабатываемого устройства на обычном компьютере, что значительно сокращает цикл отладки.


Ключевые слова


разработка аппаратного обеспечения, моделирование аппаратуры, эмуляция, PCI Express

Полный текст:

PDF

Литература


IP Compiler for PCI Express User Guide URL: http://www.altera.com/literature/ug/ug_pci_express.pdf (дата обращения: 26.08.2014)

Абрамов, С.М. Возможности суперкомпьютеров «СКИФ» ряда 4 по аппаратной поддержке в ПЛИС различных моделей параллельных вычислений / С.М. Абрамов,С.А. Дбар, А.В. Климов, Ю.А. Климов, А.О. Лацис, А.А. Московский, А.Ю. Орлов, А.Б. Шворин // Суперкомпьютерные технологии: разработка, программирование, применение (СКТ-2010): Материалы международной научно-технической конференции (Дивноморское, 27 сентября – 2 октября 2010). — Таганрог: Изд-во ТТИ ЮФУ, 2010. —Том 1. — С. 11–21.

Абрамов, С.М. Суперкомпьютеры «СКИФ» ряда 4 / С.М. Абрамов, В.Ф. Заднепровский, Е.П. Лилитко // Информационные технологии и вычислительные системы. — 2012. — № 1. — С. 3–16.

Абрамов, С.М. О разработке интерконнекта на активных оптоволоконных кабелях и программируемых логических интегральных схемах / С.М. Абрамов, И.А. Адамович, С.А. Блохин, А.В. Елистратов, Л.Я. Карачинский, Ю.А. Климов, И.И. Новиков,А.Ю. Пономарев, С.С. Ранцев, И.А. Фохт, А.Ю. Хренов, А.Б. Шворин, Ю.В. Шевчук // Научный сервис в сети Интернет: все грани параллелизма: Труды Международной суперкомпьютерной конференции (Новороссийск, 23–28 сентября 2013). — М.: Изд-во МГУ, 2013. — С. 220–223.

Avalon Interface Specifications URL: http://www.altera.com/literature/manual/mnl_avalon_spec.pdf (дата обращения: 26.08.2014)

BSD Sockets Interface Programmer’s Guide URL: http://www.cs.put.poznan.pl/wswitala/download/pdf/B2355-90136.pdf (дата обращения: 26.08.2014)

GHDL guide URL: http://ghdl.free.fr (дата обращения: 26.08.2014)

GHDL Restrictions on foreign declarations URL: http://ghdl.free.fr/ghdl/Restrictions-on-foreign-declarations.html (дата обращения: 26.08.2014)

Репозиторий исходных кодов эмулятора PCI Express URL: https://github.com/shvorin/pcie-emu (дата обращения: 26.08.2014)




DOI: http://dx.doi.org/10.14529/cmse140403